打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
Vivado Turtorial 01

1.基于BASYS3板子,有如下代码:

module top(    input        clk,    input        rst,    output       test_clk       );    parameter DIV_CNT = 2;    reg clk25M;reg [31:0] cnt = 0;always@(posedge clk)begin    if (cnt==DIV_CNT-1)        begin            clk25M <= ~clk25M;            cnt <= 0;        end    else        begin            cnt <= cnt + 1'b1;        endendassign  test_clk = rst ? 1'b0 : clk25M;

管脚配置XDC文件内容如下:

set_property PACKAGE_PIN W5 [get_ports clk]set_property IOSTANDARD LVCMOS33 [get_ports clk]set_property PACKAGE_PIN V17 [get_ports rst]set_property IOSTANDARD LVCMOS33 [get_ports rst]set_property PACKAGE_PIN L1 [get_ports test_clk]set_property IOSTANDARD LVCMOS33 [get_ports test_clk]

2.占击左侧Run Synthesis,综合

Picture

3.完成之后,再点击 Open Synthesized Design,打开之后,点Tools下的Set Up Debug...

Picture

如下

Picture

4.选择Find Nets to Add...

Picture

5.点OK

Picture

6.选中想要观察的信号,点OK

Picture

7.出现红色,在红色地方右键。

Picture

8.选择Select Clock Domain


Picture

9.选择ALL_CLOCK,然后选择clk_IBUF或clk_IBUF_BUFG

Picture

10.选择合适的采集深度,1024通常够用

Picture

11.Finish

Picture

12.点击左侧的Generate Bitstream

Picture

13.完成后,点Open Hardware Manager

Picture

14.将板子连接到电脑上,然后Open Target -> Auto Connect

Picture

15.在xc7a35t上面,右键->Program Device...

Picture

16.Program

Picture

17.点击Trigger

Picture

18.会自动弹出波形

Picture

19.此时,只有test_clk信号,没有rst信号。在Debug Probes区域中,rst_IBUF上右键

Picture

20.Add Probes to Wave Form

Picture

21.即可看到rst也在波形中了,添加其它信号类似

Picture

22.鼠标点住rst_IBUF,拖拽到图中区域放开鼠标

Picture

23.rst_IBUF信号会出现在框中

Picture

24.点开Compare Value下拉菜单,设置如下,点击OK

Picture

25.把BASYS3板子上的SW0,拔到上面。点击Run Trigger按钮

Picture

26.注意到这里应该显示Wait...

Picture

27.此时,在板子上,把SW0拔下来。注意到,这里会一闪而过Full,然后又显示Idle。如果没观察到,可以从25步骤再重来

Picture

28.再打开波形,如下图

Picture

29.回到这个界面,将Trigger Position 设置为500。再重复24-28步骤。然后再观察波形中,第500个周期,波形前后数据的变化

Picture
本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
Vivado下的集成逻辑分析仪ILA 入门
【设计经验】2、ISE中ChipScope使用教程
【原创博文】一个完整的vivado工程搭建
Verilog学习笔记(1)实现各类分频器
Verilog设计分频器(面试必看)
基于FPGA的TDC延时设计 | 电子创新网赛灵思社区
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服