打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
verilog调试--- $test$plusargs和$value$plusargs的用法
verilog调试--- $test$plusargs和$value$plusargs的用法
转:
 
VERILOG的参数可以用define和parameter的方式定义,
这种方法要求我们在编译前将变量必须定义好,编译完成之后再也不能修改;
然而,有时候我们在进行仿真时,需要从外部传递参数,这个要求怎么满足呢?

我们来看下 $test$plusargs和$value$plusargs的功能,首先来看一个简单的例子:

`timescale 1ns/1ps
module tb;
reg[255:0] testname;
initial begin
$display("**********************RESULT IS BELOW*********************");
if($test$plusargs("TAISHAN"))
$display("****************TAISHAN is selected******************");
else
$display("**********************$test$plusargs FAIL**************");
if ($value$plusargs("TESTNAME=%s", testname[255:0])) 
  begin 
  $display("*****************Running test %0s.********************", testname); 
  end
 else
  $display("**********************$value$plusargs FAIL**************");
$finish;
end
endmodule

以synopsis的VCS工具为例,正常编译后,
在仿真时,不同命令的结果如下:
命令:simv 
输出:
**********************$test$plusargs FAIL***************
**********************$value$plusargs FAIL**************
命令:simv +TAISHAN
输出:
****************TAISHAN is selected******************
**********************$value$plusargs FAIL**************
命令:simv +TAISHAN +TESTNAME=HUASHAN
输出:
****************TAISHAN is selected******************
*****************Running test HUASHAN.********************

跑完以上例子,我相信您对$test$plusargs和$value$plusargs已经有一个初步的了解。

注意,simv有一个bug,假如一段程序中有如下代码:
if($test$plusargs("TAISHAN")) begin。。。end
if($test$plusargs("TAISHANHAO")) begin。。。end
在仿真时,若采用命令
simv +TAISHANHAO
TAISHANHAO也会触发分支if($test$plusargs("TAISHAN")) begin。。。end

因此,建议大家在命名时前缀尽量不要一致。
本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
VCS $value$plusargs
各种波形文件的区别、生成方法wlf/vcd/fsdb/shm/vpd
MYSQL INSERT INTO SELECT 不插入重复数据
ubuntu下verilog实现简单“与门”
Excel定时提醒不误事
Verilog语法中 :和-:用法
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服