打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
跟我学可编程控制器之PLC梯形图逻辑转换成ST语言的两种方法

梯形图编程方法天生适合于PLC编程,因为PLC就是由继电器逻辑电路发展而来,但随着科学技术的进步和生产工艺的发展,梯形图的不足也是慢慢显示出来,尤其对于复杂工艺计算和运动控制,梯形图越来力不从心,特别是使用三菱PLC的朋友来说,有时候用梯形图写了一大段程序,其实他就干了一件很简单的事,ST语言就是在这种情况下诞生的。


最初,ST语言用来做计算的,但是,它也同样可以替代梯形图完成逻辑控制。今天,就像大家讲述如何用ST语言代替梯形图,来实现逻辑编程。而大家接触ST语言基本都是从翻译梯形图开始的,因此,今天讲的这个问题就可以归结成,如何把梯形图翻译成ST语言。一般常用的有两种方法,首先,我们先看一段梯形图

根据梯形图转化成ST语言的实质,就是把梯形图的逻辑关系理出来,接下来,把逻辑关系用ST语言表述出来

很明显,在上述梯形图中,i_xStart 和 q_xRun是或关系,它们又和 i_xStop组成与关系。

1 使用IF END_IF 语句

注意,红色荧光笔标注的部分,一定要增加,否则,你的程序无法停止。

2 使用:=赋值语句

以上另种方法,各有优点,大家可以根据自己的习惯选择,不必拘泥。

本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
【热】打开小程序,算一算2024你的财运
三菱PLC的ST语言编程方法举例:梯形图内嵌ST语言做计算
IEC61131-3中高级语言(ST)在PLC 编程中的应用
PLC编程语言,ST语言秒杀梯形图编程之浮点数计算
plc梯形图元素使用st语言编程的实现方法
PLC编程实战,如何实现PLC的方波输出,让初学者更深刻理解梯形图
PLC国产化时代,ST编程从一个CoDeSys实例开始!
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服