打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
Quartus II 13.1c (64

其实很多时候我们用web版就够了,不用破解,不要license,很方便,
web版链接:https://pan.baidu.com/s/1OSvnko0b_TEEZvQ7EeQB6A 密码:g920


点击QuartusSetupWeb-13.1.0.162.exe进行安装,安装完成以后对modelsim进行配置,将vsim.exe的路径添加到tools->options->EDA Tool Options中的Modelsim和ModelSim-Altera中,如图

就可以仿真了。

1.下载软件

链接:http://pan.baidu.com/s/1boKKAwJ 密码:erod
下载并解压会得到以下五个软件

2.安装软件

先安装QuartusSetup-13.1.0.162.exe,注意在选择安装路径时,路径不要带中文!比如我安装在了E盘,


全选

安装中

3.破解软件

①破解Quartus_II_13.1(这一步要把Quartus_II_13.1关了,否则会提示找不到sys_cpt.dll文件
1. Quartus_II_13.1破解解压开Quartus_II_13.1_x64破解器.zip,打开里面的Quartus_II_13.1_x64破解器.exe

找到sys_cpt.dll,我安装在了E盘,所以在E:\altera\13.1\quartus\bin64\sys_cpt.dll,如果是C盘,对应的是C:\altera\13.1\quartus\bin64\sys_cpt.dll。
点击“打开”,继续点击“下一步”,破解第一个dll的加密点


,最后点击“完成”破解第二个dll的加密点,第一步完成。

2.将license.dat复制到altera\13.1\quartus目录下,然后打开Quartus II 13.1 (64-bit),打开tools->license setup,找到Network interface card(NIC)ID,复制第一个就行。


找到刚才的license.dat,用记事本打开,将hostsId=后面的XXXXXXXXX都换了,总共三个,我的已经替换掉了

3.添加license.dat再次打开tools->license setup->License file,点击右侧的三个点,找到我们刚才改好的license.dat,这样使用时间就到了2035年12月。我想肯定够用了吧。

我们运行一个与门电路程序,可以编译。

但是不能仿真。

②破解仿真器
1.解压开modelsim_crack.rar,将patch_dll.bat,MentorKG.exe复制到altera\13.1\modelsim_ase\win32aloem目录下,双击patch_dll.bat,先弹出一个黑框,如图:

等上几秒钟会弹出记事本,如下图:


点击文件-》另存为,把后缀改为.dat,另存到刚才那个win32aloem文件夹下。

2.配置环境变量
计算机-》右击-》属性-》高级系统设置-》环境变量,在系统环境变量下点击新建


新建两个变量,输入环境变量名和路径即可,
第一个变量名:LM_LICENSE_FILE
路径:你自己安装的路径\altera\13.1\modelsim_ase\win32aloem\LICENSE.dat
第二个变量名:MGLS_LICENSE_FILE
路径:你自己安装的路径\altera\13.1\modelsim_ase\win32aloem\LICENSE.dat



3.添加win32aloem文件夹,打开tools->options->EDA tools options,在这两个地方添加。

模拟成功!

本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
【热】打开小程序,算一算2024你的财运
DSP?Builder的简介和安装方法
在Quartus中使用Modelsim SE_FPGA4FUN
Quartus (Quartus Prime 18.1)的安装及仿真步骤
【FPGA学习系列】一文教你quartus II 13.1 软件安装及破解
QuartusII12.0调用Modelsim波形仿真实例
Quartus II
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服