打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
Analog IC 难在哪里,结构?参数?版图?系统?

Analog IC 难在哪里,结构?参数?版图?系统?

网友提问: Analog IC 难在哪里,结构?参数?版图?系统? 比如一个运算放大器,电路结构就那么几种,宽长比和偏置电流的选取照着艾伦的书,按部就班算都能调个八九不离十,版图注意的地方也就那么多,为什么有人做出来的性能巨牛逼,有人做出来的普普通通?要做好模拟IC,或者说一个小小的运放吧,最关键的是什么???还有模拟IC需要经验,这个经验到底是什么?是流片经验?是调电路的经验?还是看书思考后积累的心得体会?最重要的是什么?what?why?how?

请看一下论坛网友的回答:

1

最重要的是methodology。
流片经验,调谐电路经验,基础知识都是需要的,把这些东西都揉成自己的methodology,并且可以指导自己今后的电路设计,节约设计时间和成本才是大牛。
当你设计了一个放大器,就问你三个问题:
1. 你的性能指标是什么?
2. 你为什么选用这个结构?
3. 你的电路可以用在什么地方?
这就是what,why和how。
当然,每个问题的回答都体现了你这个设计师的实力。
如果你发现你回答不上来,或者自己的回答都不能令你自己满意,你就需要检讨了。
能回答好这三个问题,境界就已经达到一定层次了。
我希望看到这个帖子的朋友,都问问自己,引用本回复并跟帖,举一个自己做过的电路,并回答上述三个问题。
我们一起来讨论,共同学习和检讨自己。

2
当你知道在你设计里面每一个transistor的大小都是有原因以后,你就差不多入道了,难就难在这里。剩下就是trade off 了,在完美的取舍
版图也一样。
3
难在SPEC各个参数,成本,时间等各个限制之间折中;
有时性能最好的IC不一定卖得最好,卖不好其实对公司来说就不 是好IC。
关于经验我认为就是你拿到一个项目,你就很快就知道这个项目的关键点在哪里,那些地方容易出问题,如何避免。
4
模拟ic没证可考..本科通信, 那你本科就只学过绿皮那本模电吧? 那本书上的模电和现在的模电差别太大了, 不考研的话..我基本可以肯定你是很难再本科毕业以后找到模拟IC设计的职位的。
5
举一个例子,能做“明白”放大器够吃一辈子的,想想LNA做了几十年还有多少人在做,VGA有多少年了仍有多少人还在做,还有很多在不断研究,很多教授都在这过程中出了名。很多公司R&D养了很多PHD在研发特定应用环境下的设计,很多涉及放大器设计。。。如果能做好放大器也就有条件做好很多模块。基本的东西很重要,但处理的好很难,比如mismatch,power,speed,bandwidth,CMRR。。。涉及从系统结构到版图及验证设计的方方面面,不涉足其中是很难体会的。。。模拟电路设计的“艺术”韵味更多一些,不是简单的步骤1,2,3就可以设计出一个好的模拟模块。。。再打个比方,打乒乓球,有什么难的,打好绝不容易,打到世界冠军是真难。。。
6
难在一颗追求完美的心,不管怎么设计,电路都达不到理想的中的状态,然后就一直trade off,最后在deadline前勉强交出去了,心里还是不爽,其实电路已经满足要求了……
7
每个模拟工程师的心中都有自己的模拟电路世界,也有不同的思考方法。 我比较喜欢采用拟人的方法,将自己比作电路中的一个电子或者空穴,亦或一小段电流。从VDD开始,选择一条最近的路通往GND。有时,你会在某个地方遇到自己的同伴,你们结伴而行。有时,你会发现道路十分狭窄,不得不选择另外的路。再有时,你会觉得前方已经没有路,但又隐约地有人推着你往前走,直到你穿过了一堵墙之后体力大增。最终,你会离开这个迷宫,也有可能在这个迷宫中不断循环。你可能会变成一股能量耗散在稀薄的空气中,也有可能变成一点光。而大多数时候,你可能突然之间就离开了这个迷宫,觉得自身的力量无限庞大,可以穿过任何一堵墙,所有的障碍都可以无视,辐射到宇宙。
所以模拟电路就像人生,既复杂又简单!
8
个人觉得模拟设计就是要在不同的性能之间进行折中。不同的应用环境、不同的性能指标,结构、参数都要变化。至于整体、系统的自己完全不懂,期待大牛指点迷津。
9
回复:#1
个人觉得methodology只是入门必备。那三个问题比较有意思:
1. 你的性能指标是什么?--最基本的设计出发点,稍微做过几个设计的应该都会注意到自己设计的目标;
2. 你为什么选用这个结构?--可以作回答的不少,至于回答本身仁者见仁智者见智,没有“正确”与否,反映设计者认知水平;
3. 你的电路可以用在什么地方?--开放性问题,不可能穷举,可以用的但不见得用得恰当
没有具体着才是最高着,遇什么难题都可以处理比较得当,每一个新设计都比过去的设计更好,所以模拟设计更像艺术追求。
“按部就班”是入门阶段,“随心所欲”仍能“得机得势”才是比较高的境界。。。
10
如果无法也是methodology的话。。。还有什么不是呢?
methodology实际上是非常有帮助的,但是依照任何methodology,最终都会受到其限制,传世艺术哪一件是那种方法可以创造出来的。拘泥于方法的话,“成也萧何败也萧何”在所难免。
比如仿真方法,spectre,hspice是模拟电路设计常用的工具也有很多常用的设计流程,在做很多设计时都在使用,但是哪一个电路是基于那个方法而产生的呢?带隙参考源是吗?差分电路是吗?LNA是吗?混频器是吗?LDO是吗?。。。哪一个是?只以模拟电路设计为例,如果依赖模拟器做设计,那么可以说就已经注定了该设计者很难做出很好的设计,工具应该只是用来验证设计,设计本身应该是独立其外的,尽管没有好的方法不能保证设计调到好的状态,但也只有在明白电路的人手里,那些方法也才能真正得发挥其应有的作用。同样的方法和流程,不同的人所做设计很多时候会天壤之别。
如果静下心来仔细回味一下自己引以自豪的设计,是否是由于某种methodology而设计出来的。当设计者有一天能找到这样的感觉时,可能会明白所讲的意思。
11
不明白为什么模拟还这么强调methodology?
个人愚见:
 首先从SPEC出发,对各个指标进行充分综合理解,选在一个合适的系统架构,并对系统中的各个元件进行正确的指标约束。所以从这个层面来说,系统架构才是模拟设计的重点。
具体到设计某种指标的运放来说,那都不算个什么事.除非你是做高精尖元器件的。大多数系统其实系统架构选择才是重点难点。
12
我以前做过一个放大器,采用不同的结构都尝试过
1,性能指标单位增益带宽150Mhz,增益60dB
2,采用两级运放,自给差分折叠型共源共栅,电流镜模式放大器,这三种结构中:两级运放增益比较容易,GB需要对输入级跨导要求较高,而相位裕度对输出级跨导要求高,这与输出级增益矛盾;折叠式共源共栅结构增益实现也较容易,GB和两级运放要求一样,然而由于输出阻抗较大,又不受相位裕度的影响,功耗可以降低;电流镜模式放大器GB对输入级跨导要求较低,但会提高输出级电流,对提高增益又困难,另外镜像极点有可能影响相位裕度,所以电流镜输出比不宜过高。
3,至于可以用到什么地方,对于外部放大器来说性能参数不够,因此不适合;而对于内部放大器(结构内),不同结构放大器有着不同的共模输入范围要求,比如误差放大器,对于一个放大器来说对交流的放大能力应该按其指标适合各个场合,但是对于不同的结构电路,对运放都有着不同的直流偏置。
13
所提到的系统设计,其实才真正涉及到了一个层面的设计的部分。系统设计是非常重要,好像统帅在规划战役如何进行,如果从战役层面设计失败,那么其它再努力做得再好,最终也难免失败的结局。
如果战役设计没有大问题,那么战役大框架中具体战斗的设计又会显得非常重要的,诸多战斗的成功才会成就最终的胜利,过多的战斗不利也可能会造成成功的战役 设计得不到最终的战役胜利,近而不得不折中而影响战役的效果,甚至诸多战斗不利会造成整个战役的失败。有时战斗打的漂亮也可能会导致战役方案的修改,最终 使整个战役取得比预期更大的战果。
前面两个层面“设计”双方做的都没有大问题的话,具体局部战斗如何进行就非常重要,进而成为了最终成败的决定因素。
SPEC可以从客户要求来(对手来了如何应对),也可以靠敏锐的触觉体会客户的真正需要(主动发起战役),可以叫”两条腿走路“,进而由系统功能决定,由 功能模块决定,再由具体小模块功能要求确定。想起一个例子,乔布斯设计IPHONE,就是一个主动出击的战例,SPEC几乎全是自己决定的,然后找芯片厂 家去实现独有的各个模块。前ARM Cortex-A8和Cortex-A15架构的技术领导者杰拉德·威廉姆斯的加盟,促成了A6处理器徘徊几年后的最终诞生,使得新一代IPHONE又增新亮点。
个人觉得,电路设计者除了实现电路模块功能之外,在不同层面的设计功能也是不应该被忽略的。我们既是裁缝同时也应该是设计师,而不仅仅是个裁缝,更不应该只是做个服装加工线上就会踩缝纫机的裁缝。
14
我觉得中国式“孔乙己式”教育毁了IC。比如说大家都爱谈什么ADC,DAC,LDO,bandgap。
一谈运放,就得仔细必须的手工计算出每个参数,然后在花N多时间去研究各种结构。然后死死地背会。
什么这个最优,那个怎么样。
在工程设计中,最重要的是稳定和可靠,在满足系统的情况下,尽量减少创新,尽快稳妥的完成项目。
其实,你在学习运放时,只需要把最重要的2,3中结构学好就足够了。让后类似bandgap,比较器,每种电路积累2-3个经典点路就可以了。然后学会优化过驱动电压,gm/id和w/l,版图就够了。
学习和模仿前辈的成熟电路和逆向老外的电路,是最好的学习手段,熟话说,操千器而后识器。
做项目就是用成熟的电路搭,搞它。没有成熟的电路能搭起来的,只好放弃。
我们中国人的最大优势是:快速模仿的跟进能力,所以我们应该发扬光大。我们要扬长避短,
不要去创新,我们只需要学习跟住别人就行了。
这点我很佩服华为的任总,紧跟第一,N年后在用人海和低价,去搞它。空谈技术,不如山寨老外。呵呵
估计大部分人和我一样,没有什么天赋,也没有什么好机会跟个牛人带。我们只是想能有个不错的收入养活老婆和孩子。所以,我们要不断的山寨,山寨,再山寨。
15
OP也没有这么简单了,指标如何不极限,当然好做。但是如果指标极限一点,OP就一点都不简单了,普通的三级OP,到底用哪种结构就开始麻烦起来了。
我觉得最难的地方在于NB的老大,需要对系统对结构对电路的理解要有足够的广度与深度,一开始就知道达到某个目的,大约会有几条路,每条路的天花板在哪里,选好了路以后,知道每个模块的天花板在哪里,不会让某个模块拖整个系统的后腿,当达到一个模板的天花板,知道怎么把这个模块的设计难度转移到其他的模块去。
最麻烦的问题在于,天花板这个东西是在不停的变化的,所以需要不停的吸收新的知识,而且要懂得理解新技术的思想,触类旁通。
16
打基础的想法是好的但是过于沉迷于打基础就没必要了。精研OP的想法不错,但是操作起来问题多多,先进工艺的最新版本的PDK都不好弄,想搭个电路仿仿看都没办法。
器件本身的特性其实学过了半导体物理和晶体管原理就差不多够用了
而且现在的model主要还是数值拟合的,和器件本身原理已经相去甚远了
至于精研OP这种事情,见仁见智了
我倒是觉得系统模块两手都要抓,两手都要硬
需要了解系统对要求模块达到什么样的SPEC,为什么需要这个SPEC
也需要了解模块如果采用各种结构能达到什么样的SPEC,如果换结构,需要付出什么样的代价
不过研究生几年具体怎么折腾
还是要看具体情况
有项目,跟着项目走,别局限于做出来,而要想法做懂
还有空看看一堆的模拟圣经,信号与系统,项目系统相关的入门书
另外,电路没有高低端的,
真正的牛人是一法通万法通的
碰到自己没做过的东西,能够触类旁通,很快上手
碰到瓶颈,能通过各种方法突破瓶颈或者绕过瓶颈
所以完全没有必要刻意的回避接触所谓的"高端“电路
找到所谓”高端“电路与”低端“电路的共性
蓦然回首,可能发现也就那么回事,只是可能需要考虑的细节问题更繁杂而已
17
来谈谈我的体会。
模拟IC是一项工种,又是一项艺术。说他是工种,指的是需要师傅带,如果没有师傅,自己琢磨很长时间也难以提高,而师傅的作用就是领你入门。然后就是后面的“艺术”了,师傅领进门,修行在个人。进入正道后,接下来怎么走,就看各自的特质了,如果有艺术天赋的人,将会发展得非常精彩。
而不幸的是,在中国,
 1.有艺术天赋的人,大多早早就做其他行业了;
 2.做模拟IC的,又大多是没有艺术天赋的人;
 3.还有少数有天赋又做模拟IC的,由于一直没遇到师傅,都在混日子,很是遗憾;
 4.真正有艺术天赋、做模拟IC、不混日子的人是极少数的。
这和中国的教育体制有很大关系,一个孩子从2岁起的教育,父母每天对孩子的言谈身教,可以说起了决定性作用。
我认为中国不缺人才,更不缺泯灭人才的人,而一个人才和两个泯灭人才的人,通常是中国的三口之家。而这又是由于历代的社会教育环境很差,恶性循环形成的。
希望我们这一代家长能够从小保护孩子们最宝贵的创新特质,并使其能够拥有一定艺术修养地从事一项职业,这样,不仅是模拟IC领域,在各行各业,你也许都会成为最优秀的。
18
看完该帖子,感觉自己以前都是蛮干了,没有从大的角度思考问题。
总是照搬一个结构,按照指标,简单计算一下宽长比,然后开始无休止的仿真。算是一直SPICE monkey 吧。
面对楼主的三个问题,我陷入了思考。。。。
MARK一下,整理一下答案,在来回答。
本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
利用Cadence设计COMS低噪声放大器
什么是模拟IC设计
5S模块元件选用与制作
NE5534运放推动晶体管末级70W功率放大器电路图
变频器电路常用IC引脚功能图
搞电源管理,你知道这些知名的IC原厂吗?
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服