打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
七段显示译码器(when-else实现)
七段显示译码器(when-else实现):
 
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
 
ENTITY bcd IS
PORT(
           A:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
           Y:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)
          );
END bcd;
 
ARCHITECTURE art1 OF bcd IS
BEGIN
    Y<="1111110" WHEN "0000" ELSE
          "0001100" WHEN "0001" ELSE
          "1101101" WHEN "0010" ELSE
          "1111001" WHEN "0011" ELSE
          "0110011" WHEN "0100" ELSE
          "1011011" WHEN "0101" ELSE
          "0011111" WHEN "0110" ELSE
          "1110000" WHEN "0111" ELSE
          "1111111" WHEN "1000" ELSE
          "1110011" WHEN "1001" ELSE
          "0000000";
END art1;
 
本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
【热】打开小程序,算一算2024你的财运
《数字系统设计》第四章 组合逻辑电路
交通灯fpga——托普信息技术职业学院精品课程
vhdl——inout类型的设计
电子钟VHDL设计
VHDL程序的结构体
(4)EDA实验三数字钟的设计
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服