打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
8位奇偶校验位产生电路(for-loop-end loop实现)
8位奇偶校验位产生电路(for-loop-end loop实现):
 
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
 
ENTITY odd_even IS
PORT( d: IN STD_LOGIC_VECTOR(7 DOWNTO 0);
           Y:OUT STD_LOGIC
          );
END odd_even;
 
ARCHITECTURE art1 OF odd_even IS
BEGIN
     PROCESS(d)
     VARIABLE tmp: STD_LOGIC;
     BEGIN
         tmp:= '1';
         FOR n IN 0 TO 7 LOOP
              tmp:= tmp XOR d(n);
         END LOOP;
         Y<=tmp;
     END PROCESS;
END art1; 
本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
【热】打开小程序,算一算2024你的财运
EDA期末试卷及答案
PLC基本知识
奇偶校验器
转帖-VHDL语法学习(1)--初步认识VHDL
二进制乘法器的VHDL设计
VHDL与Verilog硬件描述语言TestBench的编写
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服