打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
数字IC后端设计工程师面试真题·1(含参考答案)
userphoto

2022.09.03 广东

关注
  1. 1.请说一下标准单元的延迟与哪些因素有关此题经常容易被作为笔试题,考察学生的时序基础理解能力本质上,standard cell delay由cell的input transition和output load决定 PVT作为外部条件,也会影响到cell的delay。应该理解到PVT与delay的关 系是怎么样? 建议: 比较全面的回答是在同一种PVT条件下,cell delay由input transition和 output load决定。

  2. 2.请列举几个你知道的sdc命令,并说出它的用途?

    回顾常见的sdc命令:描述芯片的工作速度,即时钟的频率,包括create_clock, create_generated_clock等 2描述芯片的边界约束,包括set_input_delay, set_output_delay等,描述芯片的一些设计违反rule(DRV),包括set_max_fanout, set_max_capacitance, set_max_transition等 描述设计中一些特殊的路径,包括set_false_path, set_multicycle_path等 5描述设计中一些需要禁止的timing arc,例如set_disable_timing

  3. 3.请说一下drv的概念,主要包含哪些内容?

    Max transition, max capacitance, max fanout 为什么优先修复,课上提过多次,需要记牢 可以特意和面试官指出:max fanout不需要修复

  4. 4.请写一下setup, hold slack的计算公式

  5. 5.列举你知道的几种修复setup timing

    violation的方法,该优先使用哪一 种?

    1.减小data path的delay Vt Swap, HVT>RVT, RVT>LVT 插入BUF (线太长,fanout过大) Size up cell(增强驱动) 走高层线

    2.增加capture clock path delay 需要注意:加buf在capture clock endpoint前面 并且检查: 下一级path是不是有setup slack margin 该级register的input pin上的有没有hold margin
  6. 6.列举一下你知道的修复hold timing violation的方法? 经典必问题 增加data path上的delay Endpoint上***uffer/delay cell 讲师建议:面试官一般会引申出去,接着问你buffer插在什么位置 优先采用delay cell还是buffer, 这两者的优缺点

  7. 7.在修复hold violation时,delay cell和buffer该优先使用哪一种,各有什么优缺点?

    Violation较大时,优先使用delay cell;较小时,优先使用buffer。 Delay cell面积上占优势,但是驱动能力较弱。
  8. 8.在生成clock tree时,我们应该使用invertor还是buffer, 为什

    优先采用invertor,clock invertor具有整流作用,可以有效避免min pulse width的问题

  9. 9.sdc文件里,主要包含哪些内容,我们该如何约束一个设计?

    描述芯片的工作速度,即时钟的频率,包括create_clock, create_generated_clock等 描述芯片的边界约束,包括set_input_delay, set_output_delay等

    描述芯片的一些设计违反rule(DRV),包括set_max_fanout, set_max_capacitance, set_max_transition等 描述设计中一些特殊的路径,包括set_false_path, set_multicycle_path等 描述设计中一些需要禁止的timing arc,例如set_disable_timing
  10. 10.create_clock和create_generate_clock有什么区别?

    主要区别在于CTS过程中generate clock会继承source clock的latency

本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
真题秀第二季,为校招保驾护航:笔试面试题第一期(数字后端方向)
Design Compiler学习2
CTS介绍——CTS(1)
CTS的前世今生
异步时钟约束的四种方法
ASIC设计学习总结之静态时序分析概要及书籍推荐
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服