打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
采用编译增强技术,提高高密度FPGA设计工作效率

采用编译增强技术,提高高密度FPGA设计工作效率 更新于2009-12-29 20:16:51 文章出处:与非网

关键字: DSP ASIC FPGA

现场可编程门阵列(FPGA)体系创新以及向90nm工艺技术的过渡显著提高了FPGA的密度和性能。FPGA设计人员不仅需要更高的逻辑密度和更快的性能表现,还要求具有嵌入式处理器、数字信号处理(DSP)模块以及其他硬件IP结构等复杂的器件功能。但是,由于FPGA设计规模越来越大、越来越复杂,为了能够抓住稍纵即逝的市场机会,设计人员必需尽快完成其设计。


FPGA器件供应商一直努力提高编译时间效率,改善时序逼近流程,但是却无法满足设计人员更高效工作的要求。Altera Quartus? II软件5.0增强编译技术明显缩短设计迭代时间,在关键性能通路上进行设计优化,保持性能已达到要求的区域特性不变,该技术是前所未有的,极大的提高了设计效率。


编译增强优势
现在的一个高级FPGA标准编译流程包括RTL综合、布局布线等,高密度FPGA的每次设计编译在任何情况下都要耗费45分钟到4个多小时的时间,这显然限制了设计人员每天所能进行的迭代次数,可能会少至两次,明显减缓了设计过程。设计人员采用标准编译设计流程来优化部分设计时序性能时也会降低设计效率。这种优化通常不利于逻辑布局,影响设计中其他部分的性能,不得不进行额外的多次设计迭代。对于当今的高密度、高性能FPGA设计,必需具有设计和调试阶段快速迭代的能力。AlteraQuartus II软件5.0为高密度FPGA设计提供了最先进的技术,如以前只有专用集成电路(ASIC)才具有的增强设计和编译能力等。与相应的ASIC相比,FPGA编译效率更高,ASIC即使采用增强方法,仍需要几小时到几天的时间来完成编译,而FPGA编译只需要几分钟到几小时的时间。


设计人员采用Quartus II增强编译技术,可以逐步编译其设计分区,比进行全部设计的标准编译时间缩短近70%。性能保留是增强编译技术的另一个主要优势。通过只对设计中的一个分区进行编译,可以保持其他部分的性能和结果不变。这种性能保留特性使设计人员能够以更少的设计迭代,更高效的达到时序逼近

 

编译增强使设计人员能够以逻辑和物理分区的形式组织设计,进行综合和适配。只针对特定设计分区进行新的编译,从而能够显著缩短设计迭代时间。编译增强特性有助于基于模块的设计,对没有修改的设计模块,保持其性能不变。设计人员还可以只对特定设计分区采用物理综合等优化技术,而不改动其他模块。


传统上,一个层次设计在进行逻辑综合和适配之前转换为单一的网表,每修改一次设计,就要对整个设计进行重新编译,减缓了设计过程。而编译增强特性使设计人员能够沿任意层次边界划分设计分区。采用Altera Quartus II软件,可分别对不同的层次设计分区进行综合和适配。分区可以组合、合并形成网表后,进入后面的Quartus II编译流程。重新编译设计时,设计人员可以为每个分区选择使用新的源代码、后综合结果以及后适配结果。
 

编译增强设计流程
Quartus II编译增强特性改善了标准Quartus II设计流程,使设计人员能够重新使用、保留前次编译结果,节省编译时间。在一个标准设计流程中,源代码完成后,如果修改设计中的任何部分,设计都要重新进行编译,处理源代码,布局所有逻辑。采用这种方法的原因之一在于能够得到质量最佳的结果。通过处理全部设计,编译器能够进行全局优化,改善面积大小,提高性能。但是,对于有些情况,需要采用增强编译设计流程。当选好设计中的一个分区,并在器件平面布置中进行布局后,设计人员可以加速其设计编译时间,而保持结果质量不变,甚至提高结果质量。


设计人员可能希望在设计主体完成后,在设计后期修改或优化一个特定模块时,采用编译增强技术。在这种情况下,他们可以保持没有改动的模块性能不变,缩短后面迭代的编译时间。编译增强特性在有些情况下,能够同时有利于缩短编译时间和达到时序逼近。设计中有些分区丢失或不完整时,该特性还可以用于对其他分区进行编译和优化。
 

设计分区和设计层次
通常的设计实践是生成模块化或层次化的设计,对实体分别进行设计,然后在高级工程中例化,形成一个完整的设计。编译增强技术对设计中的每个实体不自动处理为设计分区;设计人员必需在该工程顶层以下,指定一个或多个层次。生成分区使编译器不对整个分区边界进行优化,但仍可以通过对每个分区分别进行综合和布局,来实现编译增强技术。

 

 

 

本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
【热】打开小程序,算一算2024你的财运
高级篇:第3章 LogicLock 设计方法
Quartus II+Modelsim各种仿真验证
[原创]如何减少Quartus II的编译时间
Quartus II 11.1 Build 173 Altera Complete Design Suite x86+x64 | 下载,Download,破解,Crack,注册,KeyGen,已付费,
廉价可编程逻辑辅助设计工具魅力犹存
【博客大赛】小梅哥FPGA学习笔记之Quartus II 15.0中仿真DDR2 IP核-一点一滴到永恒-EDN China电子设计技术
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服