打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
PWM信号生成
在FPGA中PWM信号常用计数器和比较器产生:一个固定的周期,根据计数器比较值改变占空比(分母不变改变分子)。下面是一个使用累加器生成PWM信号的例子,高电平时间不变,通过改变脉冲周期(分子不变改变分母)的方式获得PWM信号:
module PWM(clk, PWM_in, PWM_out);
input clk;
input [7:0] PWM_in;
output PWM_out;

reg [8:0] PWM_accumulator;
always @(posedge clk) PWM_accumulator <= PWM_accumulator[7:0] + PWM_in;

assign PWM_out = PWM_accumulator[8];
endmodule


原文:http://www.fpga4fun.com/PWM_DAC.html
本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
FPGA的跨时钟域的信号处理(1)
【精品博文】从零开始学FPGA系列练习一:计数器设计
我与FPGA的恋爱之任意分频和倍频
基于verilog 的很基础的RS232串口收发代码
手把手教你用FPGA实现数字秒表
FPGA时钟问题集合
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服