打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
[asic][systemverilog] timescale
different timescale confusion

Posted: Fri May 29, 2009 2:30 am    Post subject: different timescale confusion
Hi, 

I am working on one module and I have specified below time scale in my first life of testbench file. 
`timescale 1ns/10fs 

But when I am doing compilation through vcs , I am getting following display for time scale. 
TimeScale is 1 ps / 10 fs 

As I am working on single module, there might be different time scales set from different files. 

I would like to know that which will be the final time scale will be used in simulation ? Is it the one which is shown by VCS (i.e. 1 ps / 10 fs) ? 

If this is the case, then I have to change delays in my env wherever I have used # . 

About precision, I thiink the smallest one will be selected but still not sure about it. 


===================================================================

>>About precision, I thiink the smallest one will be selected but still not sure about it. 

Yes smallest precision and unit will take for total simulation but the it will not use in the modules. 

“-timescale” normally usefull to mention timescale for the modules which left without mention. 

You can use -override_timescale=<time_unit>/<time_precision> for unique timescale for all modules. 

If you are not willing to change. Then check timescale with $printimescale() system task and mention # s according to that. 

You can find some more info @ 
http://www.systemverilog.in/forum/showthread.php?tid=9
本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
【热】打开小程序,算一算2024你的财运
Warren Moore
Process corners
float型最小值怎么表示?
We Are One【FS歌曲】
【FS歌曲】We Are One
50 Common Interview Questions and Answers
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服