原文:【设计经验】5、Verilog对数据进行四舍五入(round)与饱和(saturation)截位