原文:【博文连载】动态Sobel阀值的HDL实现