原文:verilog中begin...end中间的语句是怎么执行的?